Skip to main content
  1. Resources/
  2. Study Materials/
  3. Electronics & Communication Engineering/
  4. ECE Semester 6/

VLSI (4361102) - Summer 2024 Solution

18 mins· ·
Study-Material Solutions Vlsi 4361102 2024 Summer
Milav Dabgar
Author
Milav Dabgar
Experienced lecturer in the electrical and electronic manufacturing industry. Skilled in Embedded Systems, Image Processing, Data Science, MATLAB, Python, STM32. Strong education professional with a Master’s degree in Communication Systems Engineering from L.D. College of Engineering - Ahmedabad.
Table of Contents

Question 1(a) [3 marks]
#

Draw the structure of FinFET and write its advantages.

Answer:

graph TD
    A[Source] --> B[Gate]
    B --> C[Drain]
    D[Fin Structure] --> E[Multiple Gates]
    F[Silicon Substrate] --> D

Table: FinFET Advantages

AdvantageDescription
Better ControlMultiple gates provide superior channel control
Reduced LeakageLower off-state current due to 3D structure
Improved PerformanceHigher drive current and faster switching

Mnemonic: “BCR - Better Control Reduces leakage”


Question 1(b) [4 marks]
#

Explain depletion and inversion of MOS structure under external bias

Answer:

Table: MOS Bias Conditions

Bias TypeGate VoltageChannel StateCharge Carriers
DepletionSlightly PositiveDepletedHoles pushed away
InversionHigh PositiveInvertedElectrons attracted

Diagram:

VG>GDRpaee-0tpgseliu(eobDtnseitporlnaetteion)VGECpGlh-aeastcnu0etnbres(oltInrnavteersion)
  • Depletion: Positive gate voltage creates electric field pushing holes away
  • Inversion: Higher voltage attracts electrons forming conducting channel

Mnemonic: “DI - Depletion Inverts to conducting channel”


Question 1(c) [7 marks]
#

Explain n-channel MOSFET with the help of its Current-Voltage characteristics.

Answer:

Table: MOSFET Operating Regions

RegionConditionDrain CurrentCharacteristics
Cut-offVGS < VTHID ≈ 0No conduction
LinearVDS < VGS-VTHID ∝ VDSResistive behavior
SaturationVDS ≥ VGS-VTHID ∝ (VGS-VTH)²Current independent of VDS
graph LR
    A[Gate] --> B[n-channel]
    C[Source] --> B
    B --> D[Drain]
    E[p-substrate] --> B

Key Equations:

  • Linear: ID = μnCox(W/L)[(VGS-VTH)VDS - VDS²/2]

  • Saturation: ID = (μnCox/2)(W/L)(VGS-VTH)²

  • Structure: Gate controls channel between source and drain

  • Operation: Gate voltage modulates channel conductivity

  • Applications: Digital switching and analog amplification

Mnemonic: “CLS - Cut-off, Linear, Saturation regions”


Question 1(c OR) [7 marks]
#

Define scaling. Compare full voltage scaling with constant voltage scaling. Write the disadvantages of scaling.

Answer:

Definition: Scaling reduces device dimensions to increase density and performance.

Table: Scaling Comparison

ParameterFull Voltage ScalingConstant Voltage Scaling
VoltageReduced by αRemains constant
Power DensityConstantIncreases by α
Electric FieldConstantIncreases by α
PerformanceBetterModerate improvement

Disadvantages:

  • Short Channel Effects: Channel length modulation increases
  • Hot Carrier Effects: High electric fields damage devices
  • Quantum Effects: Tunneling currents increase significantly

Mnemonic: “SHQ - Short channel, Hot carriers, Quantum effects”


Question 2(a) [3 marks]
#

Draw two input NAND gate using CMOS.

Answer:

ABABVGDNDDpnMMOpOnSYMSMOOSS

Table: NAND Truth Table

ABY
001
011
101
110

Mnemonic: “PP-SS: Parallel PMOS, Series NMOS”


Question 2(b) [4 marks]
#

Explain noise immunity and noise margin for nMOS inverter.

Answer:

Table: Noise Parameters

ParameterDefinitionFormula
NMHHigh noise marginVOH - VIH
NMLLow noise marginVIL - VOL
Noise ImmunityAbility to reject noiseMin(NMH, NML)
graph LR
    A[VIL] --> B[VIH]
    C[VOL] --> D[VOH]
    E[NML] --> F[NMH]
  • VIL: Maximum low input voltage
  • VIH: Minimum high input voltage
  • Good noise immunity: Large noise margins prevent false switching

Mnemonic: “HILOL - High/Low Input/Output Levels”


Question 2(c) [7 marks]
#

Explain Voltage Transfer Characteristics (VTC) of CMOS inverter.

Answer:

Table: VTC Regions

RegionInput RangeOutputTransistor States
A0 to VTNVDDpMOS ON, nMOS OFF
BVTN to VDD/2TransitionBoth partially ON
CVDD/2 to VDD-VTP
DVDD-VTPto VDD
graph TD
    A[VIN = 0] --> B[VOUT = VDD]
    C[VIN = VDD/2] --> D[VOUT = VDD/2]
    E[VIN = VDD] --> F[VOUT = 0]

Key Features:

  • Sharp transition: Ideal switching behavior
  • High gain: Large slope in transition region
  • Rail-to-rail: Output swings full supply range

Mnemonic: “ASH - A-region, Sharp transition, High gain”


Question 2(a OR) [3 marks]
#

Implement NOR2 gate using depletion load nMOS.

Answer:

ABVGDNDDYD(neVMpGOnlSSMeOt=Sio0n)Load

Table: NOR2 Truth Table

ABY
001
010
100
110

Mnemonic: “DPN - Depletion load, Parallel NMOS”


Question 2(b OR) [4 marks]
#

Differentiate between enhancement load inverter and Depletion load inverter.

Answer:

Table: Load Inverter Comparison

ParameterEnhancement LoadDepletion Load
Threshold VoltageVT > 0VT < 0
Gate ConnectionVGS = VDSVGS = 0
Logic HighVDD - VTVDD
Power ConsumptionHigherLower
Switching SpeedSlowerFaster
  • Enhancement: Requires positive gate voltage for conduction
  • Depletion: Conducts with zero gate voltage
  • Performance: Depletion load provides better characteristics

Mnemonic: “EPDLH - Enhancement Positive, Depletion Lower power, Higher speed”


Question 2(c OR) [7 marks]
#

Explain Depletion load nMOS inverter with its VTC.

Answer:

Circuit Operation:

  • Load transistor: Always conducting (VGS = 0, VT < 0)
  • Driver transistor: Controlled by input voltage
  • Output: Determined by voltage divider action
graph TD
    A[VIN Low] --> B[Driver OFF]
    B --> C[VOUT = VDD]
    D[VIN High] --> E[Driver ON]
    E --> F[VOUT ≈ 0V]

Table: Operating Points

Input StateDriverLoadOutput
VIN = 0OFFONVDD
VIN = VDDONON≈ 0V

VTC Characteristics:

  • VOH: VDD (better than enhancement load)
  • VOL: Lower due to depletion load characteristics
  • Transition: Sharp switching between states

Mnemonic: “DLB - Depletion Load gives Better high output”


Question 3(a) [3 marks]
#

Implement EX-OR using Depletion load nMOS.

Answer:

AVAD'DGNDVBD'DYDLBneoMpaOldSestion

Table: XOR Truth Table

ABY
000
011
101
110

Implementation: Y = A⊕B = A’B + AB'

Mnemonic: “XOR - eXclusive OR, different inputs give 1”


Question 3(b) [4 marks]
#

Explain design hierarchy with example.

Answer:

Table: Hierarchy Levels

LevelComponentExample
SystemComplete chipMicroprocessor
ModuleFunctional blocksALU, Memory
GateLogic gatesNAND, NOR
TransistorIndividual devicesMOSFET
graph TD
    A[System Level] --> B[Module Level]
    B --> C[Gate Level]
    C --> D[Transistor Level]
    E[CPU] --> F[ALU]
    F --> G[Adder]
    G --> H[MOSFET]

Benefits:

  • Modularity: Independent design and testing
  • Reusability: Common blocks used multiple times
  • Maintainability: Easy debugging and modification

Mnemonic: “SMG-T: System, Module, Gate, Transistor levels”


Question 3(c) [7 marks]
#

Draw and explain Y chart design flow.

Answer:

graph TD
    A[Behavioral Domain] --> D[System Specification]
    B[Structural Domain] --> E[Architecture]
    C[Physical Domain] --> F[Floor Plan]
    D --> G[Algorithm]
    E --> H[Logic Design]
    F --> I[Layout]
    G --> J[RTL]
    H --> K[Gate Level]
    I --> L[Transistor Level]

Table: Y-Chart Domains

DomainDescriptionExamples
BehavioralWhat system doesAlgorithms, RTL
StructuralHow it’s organizedArchitecture, Gates
PhysicalWhere components placedFloorplan, Layout

Design Flow:

  • Top-down: Behavioral → Structural → Physical
  • Bottom-up: Physical constraints influence upper levels
  • Iterative: Multiple passes for optimization

Mnemonic: “BSP - Behavioral, Structural, Physical domains”


Question 3(a OR) [3 marks]
#

Implement NAND2 - SR latch using CMOS

Answer:

SRQQ'NANNDAND

Table: SR Latch Operation

SRQQ'State
00QQ'Hold
0101Reset
1010Set
1111Invalid

Mnemonic: “SR-HRI: Set, Reset, Hold, Invalid states”


Question 3(b OR) [4 marks]
#

Which method is used to transfer pattern or mask on the silicon wafer? Explain it with neat diagrams

Answer:

Method: Lithography - Pattern transfer using light exposure

graph TD
    A[UV Light Source] --> B[Mask with Pattern]
    B --> C[Photoresist on Wafer]
    C --> D[Exposed Pattern]
    D --> E[Developed Pattern]

Process Steps:

StepActionResult
CoatingApply photoresistUniform layer
ExposureUV through maskChemical change
DevelopmentRemove exposed resistPattern transfer

Applications: Creating gates, interconnects, contact holes

Mnemonic: “CED - Coating, Exposure, Development”


Question 3(c OR) [7 marks]
#

Which are the methods used to deposit metal in MOSFET fabrication? Explain deposition in detail with proper diagram.

Answer:

Table: Metal Deposition Methods

MethodTechniqueApplication
Physical Vapor DepositionSputtering, EvaporationAluminum, Copper
Chemical Vapor DepositionCVD, PECVDTungsten, Titanium
ElectroplatingElectrochemicalCopper interconnects
graph TD
    A[Target Material] --> B[Ion Bombardment]
    B --> C[Ejected Atoms]
    C --> D[Substrate Coating]
    E[Wafer] --> D

Sputtering Process:

  • Ion bombardment: Argon ions hit target material
  • Atom ejection: Target atoms knocked off
  • Deposition: Atoms settle on wafer surface
  • Control: Pressure and power determine rate

Advantages:

  • Uniform thickness: Excellent step coverage
  • Low temperature: Preserves device integrity
  • Variety: Multiple materials possible

Mnemonic: “IBE-DC: Ion Bombardment Ejects atoms for Deposition Control”


Question 4(a) [3 marks]
#

Implement Z= ((A+B+C)·(D+E+F). G)’ with depletion nMOS load.

Answer:

ABCDEFGVGDNDDZDeplP(P(S(eaOaOeAtrRrRrNia)a)iDolle)nllseeLlload

Logic Implementation:

  • First level: (A+B+C) and (D+E+F) OR functions
  • Second level: AND with G
  • Output: Inverted result due to nMOS structure

Mnemonic: “POI - Parallel OR, Inversion at output”


Question 4(b) [4 marks]
#

List and explain the design styles used in VERILOG.

Answer:

Table: Verilog Design Styles

StyleDescriptionUse CaseExample
BehavioralAlgorithm descriptionHigh-level modelingalways blocks
DataflowBoolean expressionsCombinational logicassign statements
StructuralComponent instantiationHierarchical designmodule connections
Gate-levelPrimitive gatesLow-level designand, or, not gates

Characteristics:

  • Behavioral: Describes what circuit does
  • Structural: Shows how components connect
  • Mixed: Combines multiple styles for complex designs

Mnemonic: “BDSG - Behavioral, Dataflow, Structural, Gate-level”


Question 4(c) [7 marks]
#

Implement NAND2 SR latch using CMOS and also implement NOR2 SR latch using CMOS.

Answer:

NAND2 SR Latch:

module nand_sr_latch(
    input S, R,
    output Q, Q_bar
);
    nand(Q, S, Q_bar);
    nand(Q_bar, R, Q);
endmodule

NOR2 SR Latch:

module nor_sr_latch(
    input S, R,
    output Q, Q_bar
);
    nor(Q_bar, R, Q);
    nor(Q, S, Q_bar);
endmodule

Table: Latch Comparison

TypeActive LevelSet OperationReset Operation
NANDLow (0)S=0, R=1S=1, R=0
NORHigh (1)S=1, R=0S=0, R=1

Key Differences:

  • NAND: Set/Reset with low inputs
  • NOR: Set/Reset with high inputs
  • Feedback: Cross-coupled gates maintain state

Mnemonic: “NAND-Low, NOR-High active”


Question 4(a OR) [3 marks]
#

Implement Y= (ABC + DE + F)’ with depletion nMOS load.

Answer:

ABCDEFVGDNDDYDeplSSSeeeitrrniiigoeelnsseL((oAAaNNdDD))

Implementation Logic:

  • ABC: Series connection (AND function)
  • DE: Series connection (AND function)
  • F: Single transistor
  • Result: Y = (ABC + DE + F)’ due to inversion

Mnemonic: “SSS-I: Series-Series-Single with Inversion”


Question 4(b OR) [4 marks]
#

Write Verilog Code to implement full adder.

Answer:

module full_adder(
    input a, b, cin,
    output sum, cout
);
    assign sum = a ^ b ^ cin;
    assign cout = (a & b) | (cin & (a ^ b));
endmodule

Table: Full Adder Truth Table

ABCinSumCout
00000
00110
01010
01101
10010
10101
11001
11111

Logic Functions:

  • Sum: Triple XOR operation
  • Carry: Majority function of inputs

Mnemonic: “XOR-Sum, Majority-Carry”


Question 4(c OR) [7 marks]
#

Implement Y =(S1’S0’I0 + S1’S0 I1 + S1 S0’ I2 + S1 S2 I3) using depletion load

Answer:

Note: Assuming S2 in last term should be S0.

// 4:1 Multiplexer implementation
module mux_4to1(
    input [1:0] sel,  // S1, S0
    input [3:0] data, // I3, I2, I1, I0
    output Y
);
    assign Y = (sel == 2'b00) ? data[0] :
               (sel == 2'b01) ? data[1] :
               (sel == 2'b10) ? data[2] :
                                data[3];
endmodule

Table: Multiplexer Selection

S1S0Selected InputOutput
00I0Y = I0
01I1Y = I1
10I2Y = I2
11I3Y = I3

Circuit Implementation:

  • Decoder: S1, S0 generate select signals
  • AND gates: Each input ANDed with corresponding select
  • OR gate: Combines all AND outputs

Mnemonic: “DAO - Decoder, AND gates, OR combination”


Question 5(a) [3 marks]
#

Implement the logic function G = (PQR +U(S+T))’ using CMOS

Answer:

PQRUSTPQRUSTVGDNDDGP(S(S(P(aNeNeAaOrOrArNrRaRiNiDa)l)eDe)lls)sleelpnlMMpOOnMSSMOOSS

Implementation:

  • pMOS: Parallel for OR, Series for AND (inverted logic)
  • nMOS: Series for AND, Parallel for OR (normal logic)
  • Result: De Morgan’s law applied automatically

Mnemonic: “PSSP - Parallel Series Series Parallel”


Question 5(b) [4 marks]
#

Implement 8×1 multiplexer using Verilog

Answer:

module mux_8to1(
    input [2:0] sel,     // 3-bit select
    input [7:0] data,    // 8 data inputs
    output reg Y         // Output
);
    always @(*) begin
        case(sel)
            3'b000: Y = data[0];
            3'b001: Y = data[1];
            3'b010: Y = data[2];
            3'b011: Y = data[3];
            3'b100: Y = data[4];
            3'b101: Y = data[5];
            3'b110: Y = data[6];
            3'b111: Y = data[7];
        endcase
    end
endmodule

Table: 8:1 MUX Selection

S2S1S0Output
000data[0]
001data[1]
010data[2]
011data[3]
100data[4]
101data[5]
110data[6]
111data[7]

Mnemonic: “Case-Always: Use case statement in always block”


Question 5(c) [7 marks]
#

Implement 4 bit full adder using structural modeling style in Verilog.

Answer:

module full_adder_4bit(
    input [3:0] a, b,
    input cin,
    output [3:0] sum,
    output cout
);
    wire c1, c2, c3;
    
    full_adder fa0(.a(a[0]), .b(b[0]), .cin(cin), 
                   .sum(sum[0]), .cout(c1));
    full_adder fa1(.a(a[1]), .b(b[1]), .cin(c1), 
                   .sum(sum[1]), .cout(c2));
    full_adder fa2(.a(a[2]), .b(b[2]), .cin(c2), 
                   .sum(sum[2]), .cout(c3));
    full_adder fa3(.a(a[3]), .b(b[3]), .cin(c3), 
                   .sum(sum[3]), .cout(cout));
endmodule

module full_adder(
    input a, b, cin,
    output sum, cout
);
    assign sum = a ^ b ^ cin;
    assign cout = (a & b) | (cin & (a ^ b));
endmodule

Structural Features:

  • Module instantiation: Four 1-bit full adders
  • Carry chain: Connects carries between stages
  • Hierarchical design: Reuses basic full adder module

Table: Ripple Carry Addition

StageInputsCarry InSumCarry Out
FA0A[0], B[0]CinS[0]C1
FA1A[1], B[1]C1S[1]C2
FA2A[2], B[2]C2S[2]C3
FA3A[3], B[3]C3S[3]Cout

Mnemonic: “RCC - Ripple Carry Chain connection”


Question 5(a OR) [3 marks]
#

Implement logic function Y = ((AF(D + E) )+ (B+ C))’ using CMOS.

Answer:

AFDEBCAFDEBCVGDNDDYSPPSPPeaaeaarrrrrriaaiaaellellsllslleeeepllnllMMOpOnSMSMOOSS

Logic Breakdown:

  • Inner term: AF(D + E) = A AND F AND (D OR E)
  • Outer term: (B + C) = B OR C
  • Final: Y = (AF(D + E) + (B + C))'

CMOS Implementation:

  • PMOS network: Implements complement of function
  • NMOS network: Implements original function
  • Result: Natural inversion provides Y

Mnemonic: “PNAI - PMOS Network Applies Inversion”


Question 5(b OR) [4 marks]
#

Implement 4 bit up counter using Verilog

Answer:

module counter_4bit_up(
    input clk, reset,
    output reg [3:0] count
);
    always @(posedge clk or posedge reset) begin
        if (reset)
            count <= 4'b0000;
        else
            count <= count + 1;
    end
endmodule

Table: Counter Sequence

ClockResetCountNext Count
1X0000
000000001
000010010
0
011110000

Features:

  • Synchronous reset: Reset on clock edge
  • Auto rollover: 1111 → 0000
  • 4-bit range: Counts 0 to 15

Mnemonic: “SRA - Synchronous Reset with Auto rollover”


Question 5(c OR) [7 marks]
#

Implement 3:8 decoder using behavioral modeling style in Verilog.

Answer:

module decoder_3to8(
    input [2:0] select,
    input enable,
    output reg [7:0] out
);
    always @(*) begin
        if (enable) begin
            case(select)
                3'b000: out = 8'b00000001;
                3'b001: out = 8'b00000010;
                3'b010: out = 8'b00000100;
                3'b011: out = 8'b00001000;
                3'b100: out = 8'b00010000;
                3'b101: out = 8'b00100000;
                3'b110: out = 8'b01000000;
                3'b111: out = 8'b10000000;
                default: out = 8'b00000000;
            endcase
        end else begin
            out = 8'b00000000;
        end
    end
endmodule

Table: 3:8 Decoder Truth Table

EnableA2A1A0Y7Y6Y5Y4Y3Y2Y1Y0
0XXX00000000
100000000001
100100000010
101000000100
101100001000
110000010000
110100100000
111001000000
111110000000

Key Features:

  • Behavioral modeling: Uses always block and case statement
  • Enable control: All outputs disabled when enable = 0
  • One-hot output: Only one output active at a time
  • 3-bit input: Selects one of 8 outputs

Applications:

  • Memory addressing: Chip select generation
  • Data routing: Channel selection
  • Control logic: State machine outputs

Mnemonic: “BEOH - Behavioral Enable One-Hot decoder”

Related

Computer Networks & Data Communication (4361101) - Summer 2024 Solution
16 mins
Study-Material Solutions Computer-Networks 4361101 2024 Summer
Linear Integrated Circuit (4341105) - Winter 2024 Solution
28 mins
Study-Material Solutions Linear-Integrated-Circuit 4341105 2024 Winter
Antenna & Wave Propagation (4341106) - Winter 2024 Solution
21 mins
Study-Material Solutions Antenna Wave-Propagation 4341106 2024 Winter
Electronics Devices & Circuits (1323202) - Winter 2024 Solution
14 mins
Study-Material Solutions Electronics 1323202 2024 Winter
Elements of Electrical & Electronics Engineering (1313202) - Winter 2024 Solution
13 mins
Study-Material Solutions Electrical-Electronics 1313202 2024 Winter
Microprocessor and Microcontroller (4341101) - Winter 2024 Solution
23 mins
Study-Material Solutions Microprocessor 4341101 2024 Winter